免费论文查重: 大雅 万方 维普 turnitin paperpass

简论电气工程及自动化EDA教学实践

最后更新时间:2024-01-25 作者:用户投稿原创标记本站原创 点赞:3774 浏览:9695
论文导读: 当前,EDA软件层出不穷,但要结合学生的专业特色和软件的使用功能,有选择性地学习和掌握,甚至熟悉部分EDA软件的功能和应用。为适应飞速发展的电子产品市场,转变传统设计思路,21世纪高校培养的电子技术人才必须掌握更加实用、快捷的EDA工具,以最快的速度开发出性能优良、质量一流的电子产品。另外考虑到课程设计与实践相结合
摘 要 结合电气工程及自动化专业特点和EDA课程的特色,通过多年来对EDA课程教学的探索和深思,提出EDA课程“多练少讲,讲练结合”的教学方式;阐述把电子技术课程设计与EDA教学相结合的教学实践探索;改革考核形式,更注重对学生勤于深思和动手能力的评价。实践证明,这些措施都能很好地启发学生的创新思维,激发学生的学习兴趣,取得良好的教学效果。
关键词 EDA技术;教学实践;电子技术;软件工具
1671-489X(2013)36-0124-03
1 引言
EDA技术是以计算机为工作平台,以相关的EDA开发软件为工具,以CPLD、FPGA等可编程逻辑器件为设计载体,以VHDL、Veriolg HDL等为硬件描述语言,实现复杂电子系统的设计[1-2]。随着计算机技术、电子技术、各种仿真软件的快速发展与进步,新兴的EDA技术使设计者逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,可见基于EDA技术的设计是现代电子技术发展的核心和主流,是电子设计的重要工具。无论是设计芯片还是设计系统,如果没有EDA工具的支持,都将是难以完成。因此,学习EDA语言编程技术和利用EDA技术进行设计,必定成为许多高校电类专业学生的必修课。当然要学习和掌握这项技术,学生还应该具备数字电子、单片机技术、C语言等基础知识[3]。
EDA是一门应用广、实践性很强的课程,这样的课程理论学时较短且比较抽象。比如对于大规模逻辑器件CPLD和FPGA结构功能的学习,进行理论讲解时,学生就很难跟实际应用结合起来;对于VHDL语言要素的讲解,如果不结合程序进行分析,不结合软件进行编辑编译,发现理由、分析和解决理由,学生对语言要素的内容、程序的规范书写、应用软件的功能就很难理解,或许当时听明白了,但要应用于实际,还是很茫然。因此,应该结合EDA技术课程的特点,强化教学效果,达到能使学生学以致用,并将知识转化为生产力的目的。
笔者通过对电气专业该门课程的教学探索和深思,发现电气工程及自动化专业的EDA教学实践相关论文由www.7ctime.com收集,如需论文.目前所面对的理由是理论课时短、内容多、进度快,实验教学创新不够,因此教学效果不明显,也体现不出以“学习为主,教学为辅”的思想。为了实现学生能够在实践中加深理论知识,同时能在理论指导下对实践进行验证的良好教学效果,本文从以下几个方面对EDA教学改革展开研究。
2 多练少讲,讲练结合
将理论与实训相结合,将教学地点设在机房,实现一人一机,全程在实训室教学。结合具体的教学内容,对于较难的内容可以“先讲后练”,不难理解的知识点可“边讲边练”,比较简单的知识可以“先练后讲”。这样不仅能使学生集中注意力,还能调动学生的主观能动性,转变了传统教学中学生只是被动接受,不深思、不动手的教学弊端。而且这种教学模式能很好地将理论和实践结合起来。
例如,在利用Quatrus进行VHDL语言程序设计时,首先要在MAX+PLUSⅡ软件环境中设计一个完整的工程,然后选择VHDL硬件描述语言方式输入,编辑好的文件经过编译、引脚分配,仿真测试成功后可以下载到指定芯片上,在对应的实验箱上进行硬件测试。虽然建立工程和进行程序设计的过程比较简单,但在MAX+PLUSⅡ软件使用过程中经常会遇到一些理由。比如编辑源文件时,不论是原理图编辑还是文本编辑,其文件的存盘路径中不能包含中文符号,且不能直接保存在驱动盘的根目录里,否则将会在编译时出现错误提示“can’t openwork”[4],正确的存盘路径应该是在驱动盘的子目录里。另外,源文件存盘的文件名不能和软件器件库中已有的器件名相同,同时所编辑的各个源文件模块名称不能冲突。如果源文件是文本文件,那么存盘的文件名必须和实体(ENTITY)名一致,文件的扩展名必须与语言类型相符,如描述语言是VHDL语言,则文件的扩展名就应为“.vhd”。
学生只有亲手操作,自己或者在教师的指导下解决了这些理由,才能有深刻的理解,也才能注意到这些细节,才能理解教师讲解过的理论知识,并把理论知识和实验实践联系起来,真正达到教与学的目的,体现出利用EDA技术进行电子设计的重要性和特点。
3 EDA教学与电子技术课程设计相结合
电子技术课程设计是在模拟电子技术、数字电子技术等课程之后,集中安排的重要实践性教学环节,是电子技术教学体系中非常必要的实践训练环节,是对电子技术基础知识的进一步学习和更深层次的领悟,也是对电子技术课程知识的全面贯通和综合应用。除此之外,课程设计能提高和增强学生综合分析理由、解决理由的能力,能把所学知识和实际应用相结合,启发学生的创新思维,激发学生的学习兴趣。尤其是将EDA的内容引入课程设计中后,利用EDA软件的强大功能,特别是仿真分析,学生可在计算机上利用EDA工具画出原理图并进行仿真验证,得出最理想的电路设计方案,最后在实验室进行搭线和电路调试。由此可见,DEA教学与电子技术课程设计结合的重要环节是如何选择EDA软件工具。
当前,EDA软件层出不穷,但要结合学生的专业特色和软件的使用功能,有选择性地学习和掌握,甚至熟悉部分EDA软件的功能和应用。为适应飞速发展的电子产品市场,转变传统设计思路,21世纪高校培养的电子技术人才必须掌握更加实用、快捷的EDA工具,以最快的速度开发出性能优良、质量一流的电子产品。另外考虑到课程设计与实践相结合的特点,倡议在教学中选用以下三种EDA软件。
目前EDA技术设计过程中大部分时间在做仿真,因此在EDA工具中仿真工具占据重要的位置和主要的发展趋势。由加拿大Interative Image Technologies公司推出的一个用于电子线路设计仿真的“虚拟电子工作台”EWB(Electronics Workbench)软件是在Spice基础上开发出的一个用于仿真的设计软件。其最新版本Multisim是目前国内高校中应用最多的仿真软件之一,它功能强大,界面友好,仿真的手段切合实际,虚拟仪表使用不受限制论文导读:精神,为以后进入企业打下良好的基础。5结束语总而言之,EDA是一门新型的学科,它在高等院校电气类专业的教学和科研工作中占有越来越重要的地位和作用,并以其鲜明的时代特征、不可阻挡的科技诱惑以及超凡的速度和效率走入教学。只有充分利用学校现有的EDA实训设备,给学生提供便利的学习、实践和极大的创造空间,采用新
,因此至今为止是在世界上使用最方便、最直观的仿真软件。工程师可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真[5-6]。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。Protel是PROTEL(现为Altium)公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较早在国内使用,普及率最高,很多的大、中专院校的电路专业开设了Protel课程,几乎所有的电路公司都要用到它。现在普遍使用的Protel99SE是完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Server(客户/服务体系结构),同时还兼容一些其他设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。将EDA的全部内容整合为一体,因而该软件发展潜力很大,是EDA教学中最好的选择软件之

一、必须让学生认真学习和掌握。

MAX+PLUSII是Altera公司推出的第三代PLD开发系统。使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计自动转换成最终所需的格式[7-8]。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。特别是在原理图输入等方面,MAX+PLUSII被公认是最易使用、人机界面最友善的PLD开发软件。设计输入方式的多样性和灵活性是MAX+PLUSII的主要特点,学生通过该软件的学习不仅能掌握一种硬件描述语言(VHDL语言或Veriolg HDL语言)的编程,还可以理解可编程逻辑器件的原理与应用,并且在仿真后可在实验板上下载验证,能够激发学生的学习兴趣,也为以后进行工程实际理由的研究打下设计基础。
4 改革考核形式,注重学生勤于深思和动手能力的评价
许多课程的考核形式注重考察学生是否掌握了教学电气工程及自动化专业的EDA教学实践相关论文由www.7ctime.com收集,如需论文.大纲要求的基础理论知识,而理论知识的学习虽不能理解,但可以靠记忆获得,因此学生即使平时不努力,考试前突击也可以取得较好的成绩。而对于像EDA这种以“实践为主,重在应用”性质的课程,旧式的考核方式显然跟不上时代的要求,也有失公平。因此,改革考核方式势在必行。
1)平时成绩考核(30%),包括三部分:①出勤率;②随堂测验;③上课讨论和创意发言情况。
2)结合MAX+PLUSII上机实验操作(40%):学生完成数字抢答器、数字钟、频率计、交通灯管理、乒乓球比赛机、彩灯制约器、洗衣机制约器、出租车计价显示等实验。可以选择原理图、VHDL语言、波形描述等任意形式,只要运转正确,即满分。
3)综合设计(30%):将学生进行分组,布置综合性比较强的设计题目,最后以答辩的形式进行考核。
结合上述考核方式,在授课之初就让学生明确善于深思、勤于动手的重要性,学生就会自主进行实践,增强学生学习的主动意识,并从学习中尝到乐趣;还能培养学生的团队意识和协作精神,为以后进入企业打下良好的基础。
5 结束语
总而言之,EDA是一门新型的学科,它在高等院校电气类专业的教学和科研工作中占有越来越重要的地位和作用,并以其鲜明的时代特征、不可阻挡的科技诱惑以及超凡的速度和效率走入教学。只有充分利用学校现有的EDA实训设备,给学生提供便利的学习、实践和极大的创造空间,采用新的教学模式和考核体系,培养和提高学生的创新思维和创新能力,注意学生知识层次的综合全面发展,才能培养出具有现代化电子设计能力的大学生.
参考文献
[1]潘松,黄继业.EDA技术与VHDL[M].北京:清华大学出版,
2006:12-16.
[2]谭会生,等.EDA技术及应用[M].西安:西安电子科技大学出版社,2001:20-25.
[3]林建英.EDA层次化最优教学策略的研究与实践[J].华北航天工业学院学报,2003(13).
[4]林纯,陈娟,王建平.MAX+PLUSⅡ在EDA教学应用中的常见理由分析与解决[J].中国教育技术装备,2011(24):105-107.
[5]雷雨,李茜.电子技术课程设计与EDA教学相结合的探讨[J].高等教育研究,2006,22(2):41-43.
[6]吴鸿霞,胡学芝.电气工程及其自动化专业实践教学改革研究[J].黄石理工学院学报,2008,24(5):59-61.
[7]于华楠,赵睿,等.基于通信工程专业的EDA教学探讨与实践[J].东北电力大学学报,2011,31(5/6):195-197.
[8]吕常智,范迪.对EDA试验教学的几点认识[J].中国科教创新导刊,2010,4(2):111-114.